微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 请教高手时钟树综合的问题

请教高手时钟树综合的问题

时间:10-02 整理:3721RD 点击:

在做CTS 时出现waring:
CTS-Warning: ignore net <clk480> since its driving pin is ignored
CTS-Warning: ignore net <clk60> since its driving pin is ignored
时钟树综合失败
在查看clk480和clk60的时钟树结构时出现
waring: i0: PAD is an implicit ignore pin since it is an non-clock pin
waring: i1: PAD is an implicit ignore pin since it is an non-clock pin
i0就是clk480连接的PAD
i1是clk60连接的PAD
那个大牛知道是为什么吗?

clock定义的不对吧

这两个时钟直接trace到IO上了,工具认为IO cell不是一个合理的时钟树节点(leaf cell),所以不做CTS处理。
如果非要让工具长时钟树,可以把IO的输入强制定义为leaf pin,这样工具就会像成立D触发器一样处理这个点了,问题是时钟到IO这一段有没有latency的要求呢,这是你做时钟树之前要仔细确认好的。

谢谢了,用什么命令能强制定义I/O PAD的属性呢?时钟到I/O PAD 这一段的latency在SDC文件里设置了

时钟定义应该对着
creat_clock [get_ports clk480] -name clk480 -period 2 -waveform {0,1}
creat_clock [get_ports clk60] -name clk6480 -period 16 -waveform {0,8}

学习下

不会是output pad吧,
clock定义在input pad上的很多啊,都是顶层的port,

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top