微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 关于仿真时显示波形

关于仿真时显示波形

时间:10-02 整理:3721RD 点击:
用ncverilog仿真
如何在显示波形时不是直接显示数值,而是显示一个字符串
如老扁在以前提出的问题(在modelsim中)

关于仿真时显示波形
http://www.eetop.com.cn/cgi-bin/topic.cgi?forum=21&topic=6&show=0
这是modelsim的
我没找到老扁的帖子

关于仿真时显示波形
老扁、和尚没来吗

关于仿真时显示波形
可以编辑一个alias,让数字和名字对应起来.

关于仿真时显示波形
高定了

关于仿真时显示波形
好啊...

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top