微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > verilog中对寄存器组赋值!

verilog中对寄存器组赋值!

时间:10-02 整理:3721RD 点击:
这样一个寄存器组,reg [3:0] time_recorder [63:0];如果把所有的位全赋值为0,应该怎么写?我写成time_recorder<=64'h0;不对。:(如果只对其中的部分赋值,比如只对time_recorder[8:15]赋值,应该怎么写呢?
比较基本,找了几个参考书没有找到。:(

[求助]verilog中对寄存器组赋值!
time_recorder[8] <= 4'b1111;
time_recorder[9] <= 4'b1111;
.
.
.
.
time_recorder[15] <= 4'b1111;
其他方式就不知道了

[求助]verilog中对寄存器组赋值!
可以用for来初始化。
for(。)
time_recodwe=4'b....;

[求助]verilog中对寄存器组赋值!
谢谢!:)

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top