微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 无线和射频 > TI无线射频设计 > 求助,我在CC1101 发送数据包时,写发送到数据送出要2.5ms的延迟

求助,我在CC1101 发送数据包时,写发送到数据送出要2.5ms的延迟

时间:12-23 整理:3721RD 点击:

您好:

  我在使用CC1101做一个无线项目,使用一个4字节数据包来作为从机唤醒数据包,连续发送。

计划通过多次发送这个短包,达到激活使用WOR从设备的目的。

但是 现在发现数据从写入FIFO 到执行发送(使用GDO0 检测数据发送),2.5ms的延迟,下面是我的发送程序.实际发送程序只需要80us即可执行完毕,进入发送等待

 SpiCStrobe(CCxxx0_SFRX);    

SpiCStrobe(CCxxx0_SIDLE);//  

SpiCStrobe(CCxxx0_SFTX);// 清空发送缓存  

SPiCWriteReg(CCxxx0_TXFIFO,Size);//  

SpiCWriteBurstReg(CCxxx0_TXFIFO,tx_buf,Size);//  

Delay(70);//0.2us*70=14us  

SpiCStrobe(CCxxx0_STX);//

////////////////////////下面是我的配置程序////////////////////////////////////////

   0x06,  // IOCFG0        GDO0 Output Pin Configuration     0x47,  // FIFOTHR       RX FIFO and TX FIFO Thresholds     0x05,  // PKTCTRL0      Packet Automation Control     0x08,  // FSCTRL1       Frequency Synthesizer Control     0x10,  // FREQ2         Frequency Control Word, High Byte     0xA7,  // FREQ1         Frequency Control Word, Middle Byte     0x62,  // FREQ0         Frequency Control Word, Low Byte     0xCA,  // MDMCFG4       Modem Configuration     0x83,  // MDMCFG3       Modem Configuration     0x93,  // MDMCFG2       Modem Configuration     0x35,  // DEVIATN       Modem Deviation Setting     0x18,  // MCSM0         Main Radio Control State Machine Configuration     0x16,  // FOCCFG        Frequency Offset Compensation Configuration     0x43,  // AGCCTRL2      AGC Control     0xFB,  // WORCTRL       Wake On Radio Control     0xE9,  // FSCAL3        Frequency Synthesizer Calibration     0x2A,  // FSCAL2        Frequency Synthesizer Calibration     0x00,  // FSCAL1        Frequency Synthesizer Calibration     0x1F,  // FSCAL0        Frequency Synthesizer Calibration     0x81,  // TEST2         Various Test Settings     0x35,  // TEST1         Various Test Settings     0x09,  // TEST0         Various Test Settings


 

求教我如何解决这个问题。

附上示意图

补充一个示波器抓图,在线等。

 

问题解决,发现是同步子和前导字节默认设置较大,更改后问题解决。

您好,我现在也正用CC1101, 在这过程中无法收到数据,能和你沟通一下吗?我的QQ:32433407

请问是把默认的改小,还是把你自己的设置改小?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top