微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > VCS dump波形的问题

VCS dump波形的问题

时间:12-12 整理:3721RD 点击:
我在gate level仿真的时候,可能是因为网表太大,信号太多
我只dump第一层的信号,VCS可以正常工作,用的是$fsdbDumpvars
但是我dump多层信号的话,VCS就会挂掉,报出如下图的信息
请问,我该如何解决这个问题,从而dump出更多的信号?

搞不定周末都得来加班啊
哪位大仙帮帮我吧
哪里表述不清楚的,请指出

试着用64位模式运行下vcs,另外网表仿真如果不出错的话,不要dump全部信号

先clean 一下,全部 重新compile,别增量编译。

这个方法也不行呢
我把VCS生成的csrc,daidir,simv等等所有的目录和文件删掉重新compile,仍然不行

我在compile的时候加上-full64之后,报出了如下的信息,也失败了呢

图片上传之后怎么都变得这么模糊。。。
另外,我想请教一下,造成这个问题的根本原因是什么?是因为网表太大了吗?
找不到原因,我也没办法解决这个问题了,请各位大仙指点。

你调用novas的lib是32位的,如果可以的话,直接dump vpd吧

谢谢你的建议,不过我不大熟悉VPD波形,一直都是用的VCD波形
实在不行,就试试VPD

我换了更新版本的novas lib,终于报出来有用的信息了,如图


哈哈,看来32位是不行的,我再试试64位的lib,看行不行
多谢各位的指点和帮助

你dump出来的是fsdb文件不是vcd
你还是周末多加加班班吧

印象中FSDB本质上是VCD吧
不过这就不想去深究了,还是转行比较靠谱。。。。。

fsdb和vcd可以转的
我记得好像也能直接dump VCD的

服务器内存不够吧
这玩意就是这样,要上个64G的内存也许够用了
你不要全DUMP
一次DUMP一个子模块

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top