微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 问一个dc的问题

问一个dc的问题

时间:12-12 整理:3721RD 点击:
输入port A可能被两个时钟clk1和clk2驱动,同时用这两个时钟来设定了input delay
在clk1驱动时,存在A到内部的一条false path
在另一时钟驱动时,该路径是正常的
能否用set_false_path -from clk1 -to endpoint来设置该路径(clk1不驱动内部其他模块)?

当然可以这样写。
最好是写成
set_false_path -from [get_clocks clk1] -to ...

这样写不会影响到clk2作用时候路径的检查吗?
from clk1可以检查用clk1定义input delay的端口吗?

那你可以好好man 一下 set_false_path是怎么定义的.

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top