微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 关于Verilog中always块敏感信号的疑问与讨论。

关于Verilog中always块敏感信号的疑问与讨论。

时间:10-02 整理:3721RD 点击:
现在有一always模块,代码如下always@(posedge Sysclk or negedge Rst_n)
                if(!Rst_n)begin
                        复位语句;
                end
                else begin
                        语句0;
                end
然后如果我要在敏感信号中添加 上升沿条件1 触发,编译器就会报错?

always@(posedge Sysclk or negedge Rst_n or posedge 条件1)
                if(!Rst_n)begin
                        复位语句;
                end
                else if(条件1) begin
                        语句0;
                end

是不是Verilog中,不支持2个 posedge?
如果要这么做只能先用assign把信号连起来再写入敏感信号列表?

always语句是并行的,你可以把这个放在下一个always语句中。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top