微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 嵌入式设计 > MB89P475的UART/SIO结构与应用分析

MB89P475的UART/SIO结构与应用分析

时间:06-06 来源:互联网 点击:

摘要:MB89P475是富士通公司生产的八位单片机。该单片机具有丰富的软、硬件资源和良好的EMC性能,可广泛应用于家电控制等产品中。该器件内含两路UART/SIO接口,非常适用于计算机集中控制和管理的多级通信控制系统中。文中介绍了该单片机的特点和UART/SIO结构,给出了MB89P475在LSP300型中央空调的计算机集控系统中的设计应用方法。

MB89P475是富士通公司生产的F2MC-8L MB89470单片机系列产品。该产品具有丰富的软、硬件资源和良好的EMC性能,而且其程序空间(16k×8bits PROM)和数据空间(512×8bits RAM)大小适中,定时器资源和中断资源丰富。双路UART/SIO接口的设置是该产品的一大特点。在指令设计方面,利用该单片机可以直接进行16位数据的比较和算术运算。MB89P475的高性价比和合理的资源配置,使其可以广泛应用于家用电器控制和工业控制等应用领域。此外,在多级数据通信控制系统的开发设计中,MB89P475也是一款不可多得的单片机产品。

1 MB89P475简介

1.1 引脚功能

MB89P475(OTP型号)相应的掩膜(MASK)产品型号为MB89475,它具有两种封装形式,分别是48-pin Plastic SH-DIP和48-pin Plastic QFP封装。本文以SH-DIP封装形式为例来介绍其引脚定义,图1所示是该封装的引脚排列图,现将各引脚的功能说明如下:

X0,X1:振荡器输入、输出;

MODE:模式设定引脚,使用时,该引脚通常直接接地;

RST:复位脚,低电平复位;

P00/AN0~P07/AN7:通用I/O口或A/D输入口;

P10~P13:通用I/O口或沿触发中断输入口;

P14~P17:通用I/O口或定时器输入(EC)、输出口(TO);

P20~P22:通用I/O口或UART/SIO1的时钟输入、数据输出和输入口;

P23:通用I/O口或PWC(脉宽测量)输入口;

P24:通用I/O口或PWM(脉宽调制)输出口;

P25~P27:通用I/O口或UART/SIO2的数据输入、数据输出、时钟输入口;

P30*~P36*:大电流驱动输出口,其中,P30/BUZ*可作蜂鸣器驱动口;

P40~P41:在MB89P475(102)(单时钟系统)中为通用输入口,在MB89P475(202)(双时钟系统)中为副时钟连接引脚;

P42:通用输入口;

P50~P54:通用I/O口或电平触发中断输入口(低电平中断);

C:接0.1μF电容到地;

Vcc、Vss:电源(+5V)和接地(GND)引脚;

Avcc、Avss:A/D电路的参考电源和地。

1.2 主要特点

MB89P475内含六个定时器,分别为:PWC(脉宽测量)定时器(可用作时间间隔定时器)、PWM(脉宽调制)定时器(可用作时间间隔定时器)、2个8/16bit 定时/计数器、一个21-bit时间基准定时器和一个Watch比例器。此外,MB89P475还具有如下特点:

●带有蜂鸣器驱动,可由程序选择7种驱动信号频率;

●可外部中断,包括4个沿触发中断通道和5个电平触发中断通道;

●内含8通道10位A/D转换器;

●内含UART/SIO 异步/同步数据接收/发射器; 发射数据写入SODR1/2寄存器时,发射数据标志位TDRE同时被清“0”,发射数据转送到发射移位寄存器后,TDRE被置“1”,意味着SODR1/2寄存器可以写入下一个发射数据,同时,若发射中断允许,将产生发射中断请求。

●可低功耗工作,具有Stop模式、Sleep模式、副时钟模式、Watch模式等多种工作模式;

●带有Watchdog 定时复位功能;

●最大可用39路I/O口。

2 MB89P475的UART/SIO结构

MB89P475的最大特点就是内部集成了一个UART/SIO通用串行数据通信接口,可通过片内双缓冲器实现全双工双向通信?同时?UART/SIO可编程配置为异步或同步通信模式;其内部波特率发生器既可以选择14种不同的波特率?也可由外部时钟设置波特率?其数据传输格式见表1所列。该数据传输格式基于NRZ(不归零)系统。

表1 UART/SIO数据格式

模 式数据长度(Bit)通信模式停止位长度
无校验有校验
078异步1bit或2bits
89
18同步--

MB89P475内含六个寄存器,分述如下:

Bit7Bit6Bit5Bit4Bit3Bit2Bit1Bit0
MDPENTDPSBLCLCLK2CLK1CLK0

(1) SMC11

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top