微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 嵌入式设计 > Verilog HDL基础之:数据类型和运算符

Verilog HDL基础之:数据类型和运算符

时间:06-05 来源:互联网 点击:

常用数据类型

Verilog HDL中总共有19种数据类型,数据类型是用来表示数字电路硬件中的数据储存和传送元素的。在本书中,我们先只介绍4个最基本的数据类型,它们分别是:reg型,wire型,integer型和parameter型。

其他数据类型在后面的章节里逐步介绍,读者也可以查阅附录中Verilog HDL语法参考书的有关章节逐步掌握。其他的类型如下:large型、medium型、scalared型、time型、small型、tri型、trio型、tri1型、triand型、trior型、trireg型、vectored型、wand型和wor型。

这些数据类型除time型外都与基本逻辑单元建库有关,与系统设计没有很大的关系。在一般电路设计自动化的环境下,仿真用的基本部件库是由半导体厂家和EDA工具厂家共同提供的。系统设计工程师不必过多地关心门级和开关级的Verilog HDL语法现象。Verilog HDL语言中也有常量和变量之分,它们分别属于以上这些类型。下面对最常用的几种进行介绍。

常量

常量是在程序运行过程中其值不能被改变的量。下面首先对在Verilog HDL语言中使用的数字及其表示方式进行介绍。

1.数字

(1)整数。

在Verilog HDL中,整型常量有以下4种进制表示形式。

① 二进制整数(b或B)。

② 十进制整数(d或D)。

③ 十六进制整数(h或H)。

④ 八进制整数(o或O)。

数字表达方式有以下3种。

① 位宽>进制>数字>,这是一种全面的描述方式。

② 进制>数字>,在这种描述方式中,数字的位宽采用缺省位宽(这由具体的机器系统决定,但至少32位)。

③ 数字>,在这种描述方式中,采用缺省进制十进制。

在表达式中,位宽指明了数字的精确位数。例如:一个4位二进制数数字的位宽为4,一个4位十六进制数数字的位宽为16(因为每单个十六进制数要用4位二进制数来表示),如下例所示:

8b10101100 //位宽为8的数的二进制表示,b表示二进制

8ha2 //位宽为8的数的十六进制,h表示十六进制。

(2)x和z值。

在数字电路中,x代表不定值,z代表高阻值。一个x可以用来定义十六/八/二进制数的四/三/一位二进制数的状态。z的表示方式同x类似。z还有一种表达方式是可以写作?。在使用case表达式时建议使用这种写法,以提高程序的可读性,如下例所示:

4b10x0 //位宽为4的二进制数从低位数起第二位为不定值

4b101z //位宽为4的二进制数从低位数起第一位为高阻值

12dz //位宽为12的十进制数其值为高阻值(第一种表达方式)

12d? //位宽为12的十进制数其值为高阻值(第二种表达方式)

8h4x //位宽为8的十六进制数其低四位值为不定值

(3)负数。

一个数字可以被定义为负数,只需在位宽表达式前加一个减号,并且减号必须写在数字定义表达式的最前面。注意减号不可以放在位宽和进制之间,也不可以放在进制和具体的数之间,如下例所示:

-8d5 //这个表达式代表5的补数(用8位二进制数表示)

8d-5 //非法格式

(4)下划线(underscore_)。

下划线可以用来分隔数字的表达以提高程序可读性。但不可以用在位宽和进制处,只能用在具体的数字之间,例如:

16b1010_1011_1111_1010 //合法格式

8b_0011_1010 //非法格式

当常量不声明位数时,默认值是32位,每个字母用8位的ASCII值表示,例如:

10=32d10=32b1010 //十进制和二进制

1=32d1=32b1 //十进制和二进制

-1=-32d1=32hFFFFFFFF //十进制和十六进制

BX=32BX=32BXXXXXXX…X //默认声明为32位

AB=16B01000001_01000010 //每个字母用8位表示

2.参数(Parameter)

在Verilog HDL中用parameter来定义常量,即用parameter来定义一个标识符代表一个常量,称为符号常量,即标识符形式的常量。采用标识符代表一个常量可提高程序的可读性和可维护性。parameter型数据是一种常数型的数据,其说明格式如下:

Parameter 参数名1=表达式,参数名2=表达式, …, 参数名n=表达式;

parameter是参数型数据的确认符,确认符后跟着一个用逗号分隔开的赋值语句表。在每一个赋值语句的右边必须是一个常数表达式。也就是说,该表达式只能包含数字或先前已定义过的参数,例如:

parameter msb=7; //定义参数msb为常量7

parameter e=25, f=29; //定义两个常数参数

parameter r=5.7; //声明r为一个实型参数

parameter byte_size=8, byte_msb=byte_size-1; //用常数表达式赋值

parameter average_delay = (r+f)/2; //用常数表达式赋值

参数型常数经常用于定义延迟时间和变量宽度。在模块或实例引用时可通过参数传递改变在被引用模块或实例中已定义的参数。下面将通过一个例子进一步说明在层次调用的电路中改变参数常用的一些用

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top