微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 嵌入式设计 > 如何仿真IP核

如何仿真IP核

时间:06-06 来源:互联网 点击:
中编译库

   除了可以用前面介绍的方法自己编译ISE的库外,还可以在ISE环境下自动编译库,编译完成之后将会自动嵌入到 modelsim的 libarary中去,非常方便。

   编译之前,首先把modelsim的属性文件 modelsim.ini$Modeltech_6.0d/modelsim.ini)的“只读”属性去掉,否则每次都要重新编译。然后在 ISE 环境下新建一个工程,选中芯片型号,在出现的 process 窗口中可以看到“Desin Entry Utilities”,展开它可以看到“Compile HDL Simulation Libraries”,双击它即可自动编译 ISE 的库(要确保 Process属性窗口中“Target Simulator”正确设置为“Modelsim SE”,如图附 2);编译好的库放在$Xilinx/Verilog/mti_se/ 下(在 modelsim中仿真 ip 核需添加库时指向该路径即可,如图附 3)。


图附 2:ISE中编译库


图附 3:ISE中编译库后自动在 modelsim里加载

  aa.在 Quartus 中设置第三方工具

  在 Quartus 环境下:Assignment->EDA Tool Settings->….如图附 3 所示,在“EDA Tool Settings”下拉框中选择“Simulation”窗口,设置“Tool name”(如 Modelsim(verilog)),并选中下面的“Run this tool automatically after compliation”。这样每次 Quartus 综合完之后将会自动调用 modelsim仿真了。同时要注意,在“More Setting”中“command/macro file”应选为“None”,否则当你使用别的 testbench 时,调用将会出错。

  bb.在 Quartus 中自动编译库: 尚未发现有此方法,暂时只能由用户自己编译。

  附 B:Xilinx/Altera 库文件

  a. 与 Xilinx 相关的库有三个:

  (编译路径:$Xilinx/Verilog/src/(XilinxCoreLib, unisims,simprims),编译时可改名)
-L 表示仿真时需要的库文件。(下面的命名方式可以使 Modelsim对库进行准确映射)

Xilinxcorelib_ver对应 Xilinx 提供的软核的功能仿真库;
Unisims_ver 对应使用 ECS所做的原理图的功能仿真库;
Simprims_ver 对应项目布局布线以后的时序仿真库。

  b. 与 Altera 相关的库暂时只用到一个(Altera 的用的还不熟哦^_^):
(编译路径:$Quartus50/eda/sim_lib)命名方式可以任意

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top