微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > 天线设计和射频技术 > Running the digital simulation irun or xrun in Cadence

Running the digital simulation irun or xrun in Cadence

时间:04-04 整理:3721RD 点击:
Dear Friends,

I need to learn how to run the digital simulation "irun" or "xrun". I need this simulation to run some of verlig code and then to save the output in VCD form which I will use it in other simulation.

I tried to type irun in the command window but seems it is not the correct way.

Thank you in advance for your help
Best Regards

What do you mean by "in Cadence" ?
You visited Cadence Office ?

Use correct terminology ?

If you mean Cadence NCSim or Incisive, do you surely insert system tasks for dumping VCD ?
Sdumpfile("waves.vcd");
Sdumpvars(0, test);

The followings don't generate VCD.
Sshm_open("waves.shm");
Sshm_probe("AS");

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top