微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > 电磁仿真讨论 > AMS and Matlab co-simulation

AMS and Matlab co-simulation

时间:03-27 整理:3721RD 点击:
is anybody familiar with how to use cadence AMS and matlab co-sumulation. when I do it, the cadence tools tell me such messange.
ncvlog: 05.81-p002: (c) Copyright 1995-2006 Cadence Design Systems, Inc.
file: /home/iroi/AMS-MATLAB/simulation/tb_ieee_802_11a_demo_template/ams/config/netlist/ihnl/AMSDcouple/tb_ieee_802_11a_demo_template/schematic/verilog.vams

module AMSDcouple.tb_ieee_802_11a_demo_template:schematic
errors: 0, warnings: 0
Successfully compiled ('AMSDcouple' 'tb_ieee_802_11a_demo_template' 'schematic').

Compilation successful.
ncelab: 05.81-p002: (c) Copyright 1995-2006 Cadence Design Systems, Inc.

Elaborating the design hierarchy:

Discipline resolution Pass...

Building instance overlay tables: ....

Scouple_init(`MODULE_NAME, hostName);
|

ncelab: *E,NOTSYT (./ihnl/AMSDcouple/coupler_2_3_a/verilogams/verilog.vams,89|11): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].

If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory

libpli.so: cannot open shared object file: No such file or directory.

Sset_access_readwrite(trigg, i0, i1, o0, o1, o2);
|

ncelab: *E,NOTSYT (./ihnl/AMSDcouple/coupler_2_3_a/verilogams/verilog.vams,91|20): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
................ Done
ncelab: Memory Usage - 14.4M program + 65.7M data = 80.2M total
ncelab: CPU Usage - 1.1s system + 0.1s user = 1.2s total (1.3s, 93.2% cpu)
Failed to elaborate ("AMSDcouple" "tb_ieee_802_11a_demo_template" "config").


please tell me how to solve it. thanks.

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top