微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 在questasim中使用vmm方法

在questasim中使用vmm方法

时间:10-02 整理:3721RD 点击:
以为vmm例子中data_marcos为例,这个例子比较简单,只有一个test.sv文件
这个例子在vmm库中的路径
/sv/examples/stb_lib/data_marcos
本人使用的工作环境,XP系统,questasim6.5d vmm库为在ovmworld下载的vmm-1.1.1a
使用脚步的方法跑的程序
需要编写以下两个文件:
文件一:sim.do
set VMM_HOME "E:/system verilog/vmm-1.1.1a/"
vlib work
vlog -sv +incdir+$VMM_HOME/sv+. test.sv
vsim test -sv_lib vmm_str_dpi//之前一直没运行成功就是这个vmm_str_dpi.dll的没加进去的问题
run -all
quit
文件二:run.bat
vsim -c -do sim.do -l sim.log
将这两个文件存在data_macros文件夹下面,
也将vmm1.1.1a/shared/bin/win32的vmm_str_dpi.dll复制到这个文件中
双击run.bat就成功了
方法就是这样的,看大家还有没有跟方便的方法了

这样挺好了,不过也可以set一下DPI目录。
我在win下用cygwin跑shell,不过Questa6.5b有时候识别不了cygwin下的目录。

我尝试设置dpi的路径,但是读不了那个路径的dpi
没办法就copy到工程的目录下了

gj gdugfhghg

thank you

哇!好羡慕你们都用上questa了!我也想下一个!

小编帮帮忙啊
我貌似还是不行啊 全按照你说的做了
编译能通过 VSIM的时候就不行
不知道你遇到过这种问题没
vlog -sv +incdir+$VMM_HOME/sv+. test.sv
# QuestaSim vlog 6.5a Compiler 2009.03 Mar 27 2009
# -- Compiling package test_sv_unit
# ** Warning: test.sv(50): Function has no return value assignment.
# -- Compiling module test
#
# Top level modules:
# test
vsim test -sv_lib vmm_str_dpi
# vsim -sv_lib vmm_str_dpi test
# Loading G:\program\questasim\win32/novas.dll
# Loading sv_std.std
# Loading work.test_sv_unit
# Loading work.test
# Loading .\vmm_str_dpi.dll
# ** Error: (vsim-3193) Load of ".\vmm_str_dpi.dll" failed: DLL dependent library not found.
# ** Fatal: (vsim-3748) Failed to load DPI object file ".\vmm_str_dpi.dll" while trying to resolve 'vmm_str_match'.
#Time: 0 nsIteration: 0Instance: /test File: test.sv
# FATAL ERROR while loading design
# Error loading design
# Error: Error loading design
#Pausing macro execution
# MACRO G:\project\vmm_test\sim.do PAUSED at line 3

自己解决了 也和大家分享一下吧
我的问题是找不到相关的DLL文件
那就把vmm1.1.1a/shared/bin/win32下的所有DLL都拷贝到当前文件夹
当然 也可以拷贝到 questasim下的win文件夹下面

先顶,再看!

拷贝到 questasim下的win文件夹下面可以吗?我试了下这么不行啊,找不到vmm_str_dpi啊


不是win文件夹下面
拷贝到你建立的questasim工程目录下面阿

也将vmm1.1.1a/shared/bin/win32的vmm_str_dpi.dll复制到这个文件中

都用上6。5d版本了,真是羡慕啊

学习哦

不错哈。

帮顶。

哪里可以下到questasim6.5d呀,我现在是questasim6.2b可以跑VMM吗?

先顶起来

1# tian53169 QuestaSim在哪里下载啊

19# afeitongyi vmm-1.1.1a

1# tian53169 ovmworld

顶一个

先顶,再试···

是不是只有6.5以上的Questasim才能用啊!

很好,顶一个,支持了

牛的……

还是不行啊···

实验成果,o!yes!谢谢小编···

谢谢!

支持啊!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top