微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS怎样增加UVM库,并编译。

VCS怎样增加UVM库,并编译。

时间:10-02 整理:3721RD 点击:
下载了uvm-1.1d.tar.gz,并解压在当前文件夹内。在.bshrc文件中添加export UVM_HOME=/home/IC/software/uvm1.1d/uvm-1.1d
makefile为以下,
comp:
vcs -sverilog\
+incdir+$(UVM_HOME)/src\
+$(UVM_HOME)/src/uvm.sv\
+$(UVM_HOME)/src/dpi/uvm_dpi.cc\
dut.sv top_tb.sv
编译后报错
请问编译选项没错吧?还是应为vcs -sverilog -ntb_opts uvm-1.1 +incdir+.dut.sv top_tb.sv?

应该都可以的。请参考vcs user guide, “Using UVM With VCS ”

VCS版本高的话只需要加一个ntb_ops然后指定库就好了好像.

1.1d库里面有编译库的马克file文件。在uvm1.1d\examples 下面。希望对你有帮助

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top