微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM平台,如何在makefile里面得到随机的种子数值,求大神解答。感谢

UVM平台,如何在makefile里面得到随机的种子数值,求大神解答。感谢

时间:10-02 整理:3721RD 点击:
想要在UVM平台上多次跑用例,使用不同的种子。请问如何能把随机数获取,并赋值到编译选项里。

可以用Makefile调用cshell脚本,cshell脚本里面比如用vcs仿真工具,则编译命令:
set RANDOMSEED = `date +%N`
vcs +ntb_random_seed=$RANDOMSEED ......

一般来说,仿真的执行层次是:脚本->makefile->vcs/nc。seed的产生应该在脚本里完成,然后通过makefile传递给仿真器。seed必须支持3中方式:0,指定值和随机值,其中随机值可以对当前时间加密来完成。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top