微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > sequence中randomize是在哪个阶段执行的?

sequence中randomize是在哪个阶段执行的?

时间:10-02 整理:3721RD 点击:
prebody之前?prebody之后body之前?

1.如果是randomize本身的随机变量,那么在这三个里面任何一个都行,看你的需求。
2.如果是用uvm_do产生transaction的包,一般都是在body中。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top