微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 怎么在运行阶段再指定波形的名字?

怎么在运行阶段再指定波形的名字?

时间:10-02 整理:3721RD 点击:
我的环境是vcs 2011.03 + verdi 2009.01
以前生成文件都是在testbench里面使用fsdbDumpFile("abc.fsdb");
现在使用了vmm_test因此 想在运行阶段再制定波形的名字
请问有没有好一点的实现方法

试试tcl或者用 $value$plusarg()

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top