微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > conne_phase时connect_interface问题请教

conne_phase时connect_interface问题请教

时间:10-02 整理:3721RD 点击:
由于是使用之前别人的模块,所以有些地方不方便修改。现在问题如下,我使用:
check_o.connect_interface(tb_uvm.mon_p)报错找不到tb_uvm.
不知道如何解决这个问题,注意在top_env.sv中不能include “tb_top.sv”,否则其余的地方报错,不知道是否有其余方法解决这个问题,谢谢。

build tb先。

tb就是tb_uvm,但是env中不能incude tb,否则别的地方会报错

1、这个connect语句是在connect_phase中的?是哪一个组件的connect_phase?
2、tb_uvm就是你的tb_top?在任何component都应该看得到tb_top,请确认这两者的关系是否一致?
3、提供的代码信息量太少了,最好把该component和tb_top的关键信息列出来。

好的,麻烦高手帮我解决下:第一个是顶层文件tb_uvm.sv



第二个个第三个在top.env中,分别为build_phase和connect_phase用的,谢谢






报错显示找不到tb_uvm,不知道如何解决,谢谢

应该是能看到的,你的文件编译顺序是否tb_uvm在env的前面?tb_uvm里面有run_test的initial语句吗?

有的,还是不行,有人提议用virtual,但是试到现在还没成功

感觉用uvm_config_db传递virtual interface ,不太明白为什么要这样连接接口。

这个是正解。用virtual interface的话,需要处理好config机制,我很久不用这个东西了,细节上有点记不清了,可以多看看UVM的示例,或者在论坛里面找找。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top