微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS DUMP FSDB Waveform

VCS DUMP FSDB Waveform

时间:10-02 整理:3721RD 点击:
VCS如何DUMP FSDB波形文件?
需同时安装Novas Verdi/Debussy软体,
于.cshrc(我使用的是tcsh)中设定$VERDI_HOME或者NOVAS_HOME环境变量, 如:
setenv $VERDI_HOME /usr/Novas/Verdi-2009.04/
然后再vcs编译命令行上加fsdb选项, 如:
vcs -fsdb ……
如此, 在testbench中, 便可使用$fsdbDumpfile, $fsdbDumpvars来生成fsdb波形文件。

谢谢分享!

NHMUD-JF8HZ-XP5EX-D3X7Q

建议LZ看看Verdi安装目录下DOC文件夹的说明,其中有一个文档是专门说这个的,看完该文档,你的问题就迎刃而解了

学习了啊!

thx....

学习了,

$fsdbDumpfile函数不被识别。

我也是这个情况只有fsdbDumpfile这个系统命令不识别你是怎么处理的?

  1. Undefined System Task call to '$fsdpDumpfile'.

复制代码

印象中vcs的参数还要加两个verdi的pli库


-P ${VERDI_HOME}/share/PLI/VCS/LINUX/novas.tab ${VERDI_HOME}/share/PLI/VCS/LINUX/pli.a

谢谢11#的回答,很好用

旧版本需要加vcsd.tab和pli.a,新版本直接 -fsdb就可以了

仿真时加入测试用例了吗

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top