微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS下编译UVM例子问题,求大腿们帮帮忙

VCS下编译UVM例子问题,求大腿们帮帮忙

时间:10-02 整理:3721RD 点击:
各位大侠,新建立好的VCS仿真环境,用来入门学习UVM。想跑一下UVM自带的例子,确定好不好使,果不其然,出了问题,而且小弟搞不定(不要鄙视,我虚心求教,如果有愿意帮助的,还请说详细些),下面是LOG,UVM自带的hello_world的例子:
vcs -sverilog -timescale=1ns/1ns +acc +vpi +define+UVM_OBJECT_MUST_HAVE_CONSTRUCTOR +incdir+../../../src ../../../src/uvm.sv ../../../src/dpi/uvm_dpi.cc -CFLAGS -DVCS +incdir+. \
hello_world.sv
Chronologic VCS (TM)
Version J-2014.12-SP1 -- Mon Oct 19 08:48:55 2015
Copyright (c) 1991-2014 by Synopsys Inc.
ALL RIGHTS RESERVED
This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.
Parsing design file '../../../src/uvm.sv'
Parsing included file '../../../src/uvm_pkg.sv'.
////////中间都是类似上面的处理,没问题
Back to file 'hello_world.sv'.
Top Level Modules:
hello_world
TimeScale is 1 ns / 1 ns
Starting vcs inline pass...
4 modules and 0 UDP read.
However, due to incremental compilation, only 1 module needs to be compiled.
recompiling package vcs_paramclassrepository because:
This module or some inlined child module(s) has/have been modified.
make[1]: Entering directory `/home/shen/WORKSPACE/VCS/uvm-1.1d/examples/simple/hello_world/csrc'
rm -f _csrc*.so linux_scvhdl_*.so pre_vcsobj_*.so share_vcsobj_*.so
ld -m elf_i386 -shared-o .//../simv.daidir//_csrc0.so amcQwB.o
rm -f _csrc0.so
if [ -x ../simv ]; then chmod -x ../simv; fi
g++-o ../simv-m32-Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.diruvm_dpi.o_5158_archive_1.so _prev_archive_1.so _csrc0.soSIM_l.o_csrc0.sormapats_mop.o rmapats.o rmar.ormar_llvm_0_1.o rmar_llvm_0_0.o/home/shen/program/vcs/vcs/linux/lib/libzerosoft_rt_stubs.so /home/shen/program/vcs/vcs/linux/lib/libvirsim.so /home/shen/program/vcs/vcs/linux/lib/liberrorinf.so /home/shen/program/vcs/vcs/linux/lib/libsnpsmalloc.so/home/shen/program/vcs/vcs/linux/lib/libvcsnew.so /home/shen/program/vcs/vcs/linux/lib/libuclinative.so-Wl,-whole-archive /home/shen/program/vcs/vcs/linux/lib/libvcsucli.so -Wl,-no-whole-archive./../simv.daidir/vc_hdrs.o/home/shen/program/vcs/vcs/linux/lib/vcs_save_restore_new.o /home/shen/program/vcs/vcs/linux/lib/ctype-stubs_32.a -ldl-lc -lm -lpthread -ldl
find: invalid predicate `-delete'
make[1]: [product_timestamp] Error 1 (ignored)
../simv up to date
make[1]: Leaving directory `/home/shen/WORKSPACE/VCS/uvm-1.1d/examples/simple/hello_world/csrc'
CPU time: 10.650 seconds to compile + .077 seconds to elab + .404 seconds to link
./simv +UVM_VERBOSITY=UVM_LOW -l vcs.log
Command line: ./simv +UVM_VERBOSITY=UVM_LOW -l vcs.log
*** glibc detected *** corrupted double-linked list: 0x09fd8bd0 ***
sh: line 1:5288 Aborted/usr/bin/gdb -n --batch -x /tmp/.stack_annotator_gdb_cmd_file_vU2Zrw ./simv 5285 </dev/null >&/tmp/.stack_annotator_gdb_output_file_brdXhT
我开始以为是G++的问题,RHDL4系统自带的G++是3.4.3版本的,费了老大的劲儿,把GCC升级到4.4.5,还是报同样的问题,而且看错误提示,也没思路,只能求助了

这什么有用提示也没有啊,建议删除生成的文件重新跑一遍试试

重新编译也不行,我又试了ubus的那个例子,也是同样的问题:
.......
vcs/linux/lib/ctype-stubs_32.a -ldl-lc -lm -lpthread -ldl
find: invalid predicate `-delete'
make[1]: [product_timestamp] Error 1 (ignored)
../simv up to date
make[1]: Leaving directory `/home/shen/WORKSPACE/VCS/uvm-1.1d/examples/integrated/ubus/examples/csrc'
CPU time: 11.923 seconds to compile + 2.818 seconds to elab + .585 seconds to link
./simv +UVM_VERBOSITY=UVM_LOW -l vcs.log +UVM_TESTNAME=test_2m_4s
Command line: ./simv +UVM_VERBOSITY=UVM_LOW -l vcs.log +UVM_TESTNAME=test_2m_4s
*** glibc detected *** free(): invalid next size (fast): 0x0977cf00 ***
sh: line 1:5459 Aborted/usr/bin/gdb -n --batch -x /tmp/.stack_annotator_gdb_cmd_file_IFCAfe ./simv 5456 </dev/null >&/tmp/.stack_annotator_gdb_output_file_0rXkyP
就一个 find: invalid predicate `-delete' 信息有用点,但是源代码应该是不会有问题的,还是怀疑系统环境没搞好

用2014.3的版本就没有问题了,2014.12是这样的,原因不明.

还是这位兄弟有经验

都是这样的么?为什么网上一点相关信息都没有呢
2014.03的版本哪有啊?这软件好难找

32位系统?64位系统?

学习学习

和提示无关,用正式版license文件就不会有事。

你好,我刚接触UVM,我想跑一下自带的这个ubus的例子,在example下用命令make-fMakefile.vcs编译了一下这个Makefile.vcs文件,请问下一步怎么做呢?怎么可以让这个例子跑起来呢?实在没有思路,求大神指教,谢谢

最后怎么解决的问题

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top