微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > vcs仿真错误

vcs仿真错误

时间:10-02 整理:3721RD 点击:
用vcs仿真,编译通过,但仿真是出现下面的错误,有没有遇到相同情况的,请各位大神给点意见,谢谢:
Chronologic VCS simulator copyright 1991-2013
Contains Synopsys proprietary information.
Compiler version H-2013.06; Runtime version H-2013.06;Jun 24 19:16 2014
Command line: ./simv -l simv.log
--- Stack trace follows:
Dumping VCS Annotated Stack:
#00xffffe410 in __kernel_vsyscall ()
#10x00d122f6 in nanosleep () from /lib/libpthread.so.0
#20xf559868c in SNPSle_c4bf7da8b7e6ef91439d7653e6245e0b () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#30xf5599cf0 in SNPSle_23de553fca712a45fa4d1d3c9ed1c647 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#40xf5598c4d in SNPSle_108e0bd44901fe542e292606fa1ae91ca3cd036ffc7b66b67eb68470c8c8dc1fc9d9f22ed54700c6c50b6ca0c3a58991d261ff0501830e10 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#50x00d0a832 in start_thread () from /lib/libpthread.so.0
#60x00c8ee0e in clone () from /lib/libc.so.6
#00xffffe410 in __kernel_vsyscall ()
#10x00c4e5db in waitpid () from /lib/libc.so.6
#20x00bf307b in do_system () from /lib/libc.so.6
#30x00bf3412 in system () from /lib/libc.so.6
#40x00d12ead in system () from /lib/libpthread.so.0
#50xf6e4f159 in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313e639d2928478c79b3f673f99203bcf8be646006121000822323a7337474f31850 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/librterrorinf.so
#60xf6e505db in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313efba706aab251478fa49e66610e453774633a6c152e7ef778f2202cda681f3d4e () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/librterrorinf.so
#70xf6e46b16 in SNPSle_d35ca1ff70d465c24c71e1f0ad6ba632 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/librterrorinf.so
#80xf58e45ab in SNPSle_64133461705005bb725549e2e6fa1b3f () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#90xf57aa3a7 in SNPSle_82244d58c54c18c70d63edc9becab634 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#11 0xf6e158f0 in mem_malloc () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libsnpsmalloc.so
#12 0xf6e03890 in snpsCheckMallocFunc () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libsnpsmalloc.so
#13 0xf56f3eb0 in SNPSle_9887f8932555912826e366767c1d87cb () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#14 0xf53339ab in SNPSle_519e1ced19365ae0 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#15 0xf538d802 in SNPSle_bf7dab0ed7bfb3d3faa663032bf0cd21348d10d75e709cf6 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#16 0xf576f3f6 in SNPSle_447ede9c92fc4cd4 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#17 0xf769340f in M_VCSgd_pkt_1g_rd_ctrl_3Prru_1 () from /home/bwt/work/rtl_sim/VMM/DcnSwitch_Verification_rldram/simv.daidir/_csrc1.so
#18 0xf5774773 in SNPSle_51c9dbbbf7992bc1125c27f0df7def6e8d8f8752bfd1c2a8 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#19 0xf5774cc9 in SNPSle_f0e39148dea0dd42 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#20 0xf7781a01 in M_VCSgd_dcn_switch_plan_0000_IVLMPe_1 () from /home/bwt/work/rtl_sim/VMM/DcnSwitch_Verification_rldram/simv.daidir/_csrc1.so
#21 0xf5774773 in SNPSle_51c9dbbbf7992bc1125c27f0df7def6e8d8f8752bfd1c2a8 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#22 0xf5774cc9 in SNPSle_f0e39148dea0dd42 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#23 0xf77e242d in M_VCSgd_test_to_dut_0000_Gk10Cb_1 () from /home/bwt/work/rtl_sim/VMM/DcnSwitch_Verification_rldram/simv.daidir/_csrc1.so
#24 0xf5774773 in SNPSle_51c9dbbbf7992bc1125c27f0df7def6e8d8f8752bfd1c2a8 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#25 0xf5774cc9 in SNPSle_f0e39148dea0dd42 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#26 0xf78206b4 in M_VCSgd_topTest_1 () from /home/bwt/work/rtl_sim/VMM/DcnSwitch_Verification_rldram/simv.daidir/_csrc1.so
#27 0xf7137ada in SIM () from /home/bwt/work/rtl_sim/VMM/DcnSwitch_Verification_rldram/simv.daidir/_csrc0.so
#28 0xf58eb587 in SNPSle_14c2c1835869a063 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#29 0xf58fbfe8 in SNPSle_490598bfebcc8e8183ad3550288b1f82 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#30 0xf590911c in SNPSle_490598bfebcc8e81 () from /root/tools/synopsys/vcs-mx_vH-2013.06/linux/lib/libvcsnew.so
#31 0xf7137b98 in main () from /home/bwt/work/rtl_sim/VMM/DcnSwitch_Verification_rldram/simv.daidir/_csrc0.so
#32 0x00bd2e9c in __libc_start_main () from /lib/libc.so.6
#33 0x0804caa1 in _start ()
No context available
make: *** [run] Error 1

遇到过 ,升级VCS版本是一个办法。
我当时是interface中有双向信号就会有这个情况,VCS对SV的语法并没有全支持 有些也支持的不好,就出这种升级版本吧,不升级就往回退你的代码吧

谢谢你的回答

vcs的堆栈错误!重新仿真下试试。我们在项目中经常会遇到,尤其在使用vcs_2012的版本时候

刚搜索到,
同样一个程序,是top,不是tb。(就是随便测试一下)
在vcsmx 201403下可以用simv -gui调用dve调试。
但是,在vcsmx201412下 ,就报类似的堆栈错误。
有什么好办法吗?

我也经常遇到过。201103没有发现这个问题。一直到201209mx就出现了这个问题。同一个case,一般情况下没有问题,但有事就会堆栈溢出了。
问了下老员工,他们说是我UVM 代码的问题,呵呵
应该是UVM内存分配出现了问题,我也不知道怎么弄 ~纠结

把编译生成的相关关键全部删除,再次编译一次试一试

请问小编这个问题怎么解决的阿

删除simv等临时文件重新再跑一下

路过学习,也是报UVM出现堆栈问题!

这是什么问题呢
rm -f _csrc*.so linux_scvhdl_*.so pre_vcsobj_*.so share_vcsobj_*.so
ld -m elf_i386 -shared -o .//../simv.daidir//_csrc1.so --whole-archive _vcsobj_1_1.a--no-whole-archive
/usr/bin/chcon: can't apply partial context to unlabeled file .//../simv.daidir//_csrc1.so
make: [_csrc1.so] Error 1 (ignored)
ld -m elf_i386 -shared -o .//../simv.daidir//_csrc0.so 5NrI_d.o 5NrIB_d.o SIM_l.o
/usr/bin/chcon: can't apply partial context to unlabeled file .//../simv.daidir//_csrc0.so
make: [_csrc0.so] Error 1 (ignored)

路过学习,也是报UVM出现堆栈问题!

遇到过,同样的环境和代码,从2013.06版本后就有这问题

有没有什么解决办法啊,同样遇到这种的问题

最近也遇到这样的问题,请问你是怎么解决的?

我也遇到这个问题,请问是否有解决办法?

升级吧

我的是2016版本的,也是这个问题!

我是2013.06版本的,也遇到了这个问题

可能因为环境中变量堆积没有释放

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top