微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 在new构造函数括号里的parent 参数,专门指定parent= NULL 和不指定 结果有什么不同?

在new构造函数括号里的parent 参数,专门指定parent= NULL 和不指定 结果有什么不同?

时间:10-02 整理:3721RD 点击:
请教个简单的new funciton parameter setting 问题
~
class my_component extends uvm_component;
function new(string name = "my_component", uvm_component parent = null);
super.new(name, parent);
endfunction
~
class my_component extends uvm_component;
function new(string name = "my_component", uvm_component parent );
super.new(name, parent);
endfunction
在括号里的parent 参数,专门指定parent NULL 和不指定 result 有什么不同?

同求。

同问~

uvm_component parent = NULL 表示parent参数的缺省值为 NULL。
在my_compoment实例化时 可以直接 my_component comp = my_component(name);
否则 就需要显性指定参数值,如 my_component comp = my_component(name, NULL);

谢谢,谢谢啊

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top