微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > verilog如何获取系统时间做为随机数的种子

verilog如何获取系统时间做为随机数的种子

时间:10-02 整理:3721RD 点击:
非仿真时间$time,谢谢~

verilog不能获取系统时间吧,可以定义一个PLI函数,返回系统时间

非常感谢您
我试过在VCS的命令行加上+SEED,然后再MAKEFILE将SEED变量设为系统时间好像是可以的

是可以实现的,但是我觉得SEED要自己控制才是的

good, thank you

学习了 呵呵

如果用系统时间作为随机的种子,那么你这个测试用例的就没有可重复性了,这点要注意啊

楼上说得好,的确有这个问题,如果是用system verilog可以记住这支没过PASS的pattern(我不懂SV,听同事说的)。但verilog不行,这样会造成无法复现问题

有关重用性,可以在make之后cp之前生成的带seed的run环境到例如
run_simv_1111(假如1111是刚才系统时间生成的seed)
,这样就可以确保重用了。,当然生成完整的带filelist的仿真目录更好

对,实在想这样用就把把seed和配置打印出来,如果真的仿真有问题,大不了手动给配seed复现问题

我原来也是通过脚本获得系统时间,然后通过+seed给VCS

学习~

还可以把输出LOG带个种子号,如tc1_0521214022.run_log

请问怎么用seed获取系统时间?谢谢

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top