微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > uvm_info的打印时间单位

uvm_info的打印时间单位

时间:10-02 整理:3721RD 点击:

请问uvm_info的打印时间单位怎么设置啊?
我在Makefile里面设置了-timescale=1ns/1ps,按理说单位应该是1ns,精度是1ps。
但是我在一个component的main_phase里面等待#300个时间单位,结果在uvm_info打印的信息是@300000,这个时间单位显然变成了1ps。
求解~
(PS:timescale是一个永远讨论不完的话题)

uvm_info 这个是微秒吧 就是300ns了 这个时间单位体现在你#300 这个 是300ns1ps精度是在仿真波形那边的时钟偏差吧

1us=1000ns吧,你貌似算反了

哦哦,弄错了,不是u妙 是p秒

是啊,现在就是不知道怎么设置uvm_info的打印时间单位

$timeformat

你直接设置`timescale 1ns/1ps 就行这样你环境中使用到的时间单位就是1ns了 #200(ns)

你自己试了吗?为什么我试了不行?

尝试下$timeformat,可以改变display的时间显示,uvm_info没试过可不可以。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top