微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM实战问题

UVM实战问题

时间:10-02 整理:3721RD 点击:
UVM实战中的问题
while(!top_tb.rst_n)
@(posedge top_tb.clk);
for(int i = 0; i < 256; i++)begin
@(posedge top_tb.clk);
top_tb.rxd <= $urandom_range(0, 255);
top_tb.rx_dv <= 1'b1;
`uvm_info("my_driver", "data is drived", UVM_LOW);
$display(" ===== %d",i);
end
@(posedge top_tb.clk);
top_tb.rx_dv <= 1'b0;
大家知道这段话怎么理解吗

rst_n非0期间,连续驱动256个在0~255之间的随机数,空闲一拍后继续

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top