微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请教UVM环境搭建问题

请教UVM环境搭建问题

时间:10-02 整理:3721RD 点击:

以前做UVM环境基本都是模块级的,SOC系统级验证都是C/C++来完成的,最近在考虑是不是可以建系统级的UVM环境,SOC的CPU是个很麻烦的问题,可以bypass CPU做这样的环境,但是要修改design不是吗,请大牛指导一下,有没有其他更好办法做这个环境呢,小弟不胜感谢啊

请大牛们解答解答啊

use cycle accurate c model for your cpu.

可以详细讲一讲吗,谢谢

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top