微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > modelsim 10.1c + debussy 5.4 联调不成功

modelsim 10.1c + debussy 5.4 联调不成功

时间:10-02 整理:3721RD 点击:
bat 文件如下

::关闭回显
@ECHO OFF
::设置软件路径
SET debussy=D:\Novas\Debussy\bin\Debussy.exe
SET vsim=D:\modeltech_10.2c\win32\vsim.exe

::ModelSim Command
%vsim% -c -do sim.do
::删除ModelSim生成的相关文件
RD work /s /q
DEL transcript vsim.wlf /q
:ebussy Command
%Debussy% -f list.f -ssf wave.fsdb -2001
::删除波形文件
DEL wave.fsdb /q
::删除Debussy生成的相关文件
RD Debussy.exelog/s /q
DEL debussy.rc /q

::退出命令行
EXIT

do 文件
vlib work
vmap work work
vlog -flist.f
#vlog -work work.Counter_Design_TB.v
#vlog -work work.Counter_Design.v
#vsim -wlf work.Counter_Design_TB
vsimwork.Counter_Design_TB
#add wave *
#vsim -lib work Counter_Design_TB
#view wave
#add wave sim:/Counter_Design_TB/*
run 10us
quit -sim

结果
# Modifying modelsim.ini
# Model Technology ModelSim SE vlog 10.2c Compiler 2013.07 Jul 18 2013
# -- Compiling module Counter_Design_TB
# -- Compiling module Counter_Design
#
# Top level modules:
#Counter_Design_TB
# vsim work.Counter_Design_TB
# Loading D:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll
# //ModelSim SE 10.2c Jul 18 2013
# //
# //Copyright 1991-2013 Mentor Graphics Corporation
# //All Rights Reserved.
# //
# //THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
# //WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
# //LICENSORS AND IS SUBJECT TO LICENSE TERMS.
# //
# Loading work.Counter_Design_TB(fast)
# Loading work.Counter_Design(fast)
# Novas FSDB Dumper for ModelSim54, Release 5.4v9 (Win95/NT) 05/04/2005
# Copyright (C) 1996 - 2004 by Novas Software, Inc.
# *Novas* Create FSDB file 'wave.fsdb'
# *Novas* Start dumping the top scope(Counter_Design_TB), layer(0).
# *Novas* End of dumping.
ModelSim>

各位大大们,以前有遇见过吗

已解决
,是do文件最后要用 quit -f
在此特别感谢网友海洋之心

为啥联合调试没成功呢

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top