微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > verdi仿真问题

verdi仿真问题

时间:10-02 整理:3721RD 点击:
打开verdi 并添加好testbenchfsdb等,然后添加信号开始仿真,但是添加信号时会出现:Unrecognized signal:******* ,no further operation。以至于信号没能添加进去。
请教大家,这是哪里的问题呢?
谢谢啦~

自顶。

小编好人 谢谢

dump的波形不对,你再看下你仿真的log档,应该是有Warning或者其它什么的,检查下,解决掉然后重新仿真

在testbench里面加好波形的dump设置,
initial begin
$fsdbDumpfile("waves.fsdb");
$fsdbDumpvars(0,testbench);
end
仿真完了再用verdi打开波形,项目比较大时dump整个设计仿真会很慢,可以用$fsdbDumpvars(0,testbench.module_A); 选择性dump关心模块的波形。

拉你testbench里面例化的dut的信号,在testbench以外的dut模块的信号拉出来就会这样子。似乎信号不跳变就会报这个

为什么我不能回复!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top