微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请教,questasim 10.a能否仿真uvm1.1?

请教,questasim 10.a能否仿真uvm1.1?

时间:10-02 整理:3721RD 点击:
请教,questasim 10.a能否仿真uvm1.1?

可以.

可以仿uvm1.1 但是需要自行去编译uvm库
对于更低版本的uvm ,questasim10.0a应该已经编译好了,自己不用编译,直接导入uvm_pkg库就行
可以通过modelsim.ini搜搜看已经编译好的uvm库是什么版本

正在试验

同问 正在试验

应该可以

学习,学习

学习,学习

必须可以的。questasim6.6d都已经可以了,只需要把安装目录下的questasim/verilog_src/uvm-1.1c下面的包编译一下就可以了。
下面可以根据自己安装的路径改一下,用makefile或者shell都可以
vlog -work xxx -sv +define+CVC -novopt +incdir+ ..../questasim_6.6f/questasim/verilog_src/uvm-1.1/src \
..../questasim_6.6f/questasim/verilog_src/uvm-1.1/src/uvm_pkg.sv
gcc -m64 -fPIC -DQUESTA -g -W -shared -I. -I..../questasim_10.1d/10.1d-p2/questasim/include ...../questasim_10.1d/10.1d-p2/questasim/verilog_src/uvm-1.1/src/dpi/uvm_dpi.cc -o uvm_dpi.so

学习,学习

应该可以

不过可能会出一些问题

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top