微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > modelsim与debussy的联合设置

modelsim与debussy的联合设置

时间:10-02 整理:3721RD 点击:

我在用modelsim10.1a仿真时遇到问题:** Error: (vsim-PLI-3486)acc_fetch_defname(): The object_handle parameter is not a handle to a module,primitive, or architecture instance.:src/tb/tb_nflash_ctrl.v(50),这里第50行的代码是$fsdbDumpvars;

第51行是$fsdbDumpfile("nfcwave_dma_write_read_buffer.fsdb");我自己的分析理解是:modelsim与debussy的联合设置有问题,但是自己按照网上的设置方法都试过了,把Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll拷贝到..\modeltech_6.5\win32下,取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开。找到
“; Veriuser = veriuser.sl”替换为“Veriuser = novas.dll”。可是结果就是不行,把modelsim和debussy都重新卸载装上还是不行,真是不知道怎么办了,求大神指教!

求关注,求解答。

你这是linux版的吗?我之前装linux版的时候 也出现了这样的问题。原因在于modelsim的环境变量path有问题。你可以找找这方面的原因~或者你在你的项目文件中查看下 你调用的modelsin.ini是否正确。

我用到是win7系统,modelsim是modelsim-win32-10.1a-se.debussy是debussy54v9,应该没有问题啊.另外我用的modelsimSE6.5g跑同样的工程就没有问题,设置方法就是上面说的 那样。

.............................

.............................

如果有条件 感觉VCS确实好用

不懂 搭车同求

在仿真的时候加入参数-pli novas.dll的路径即可

好像是代码函数的使用问题,不能写成$fsdbDumpvars;要写成$fsdbDumpvars(层次数,被测模块例化名);就好了。



请问你说的这个参数是在哪里加。是在联合仿真的 sim.do那个文件里吗?

你代码里面是不是有generate之类的东西啊
你dump fsdb的时候不要dump有generate那层就好了

若若的问一个,为什么需要两个呢,只用modelsim不就可以吗

请问你这个问题解决了吗? 我现在用的SE 10.1a版本,跟你一样的现象。这个语句我应该用对了$fsdbDumpvars (0, top);

请问你这个问题解决了吗? 我现在用的modelsim SE 10.1a版本,跟你一样的现象。这个语句我应该用对了$fsdbDumpvars (0, top);

关注 嗯 好问题!

我是vivado2012.4 + modelsim10.1a + debussy5v4
modelsim和你报一样的错
但是不影响debussy调取fsdb文件进行分析

我yun,还是见习生

学习一下。。

受教了,以后注意

这个嘛,有待考虑

尝试了一下15楼的做法,error去掉了,感谢!

新手请教;如何利用debussy记录电路各个节点出现逻辑0和1的概率以及翻转概率?

还是没有解决

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top