微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 关于UVM的一些问题

关于UVM的一些问题

时间:10-02 整理:3721RD 点击:

小弟刚接触UVM,在练手的过程中,遇到一个问题:
我想通过frontdoor访问register,构建了register model,也写了adapter,和driver的连接应该也没问题。
在debug过程中,发现跑到uvm_sequencer_base.svh中的wait_for_grant之后,就没有下文了,然后仿真就结束不了。
其过程中,观察sequencer,都是能看到的,所以就不知道到底是什么问题导致的?
哪位大婶来帮忙分析一下~~谢谢~!
ps:由于代码在内网上,没法贴出来,请见谅!如果描述不清,请在底下留言,我会补充的,谢谢~!
补充:
前面描述的是我用virtual sequence启动,这个sequence包含一个ahb的sequence和axi的sequence,axi的sequence能正常工作,ahb的sequence里面主要是register的访问,就发现driver一直poll不到req。后面我把这个sequence单独拎出来,发现可以正常访问到driver,是不是virtual sequence在使用的时候有什么限制还是?

是不是在driver里没有执行task item_done? 你可以把UVM_VERBOSITY提高,打印更多的log试试

应该不是,因为driver只执行了get_next_item,然后就阻塞了~~我感觉是register那边的sequencer并没有发送req给driver,
但是不知道问题出在哪里

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top