微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > questasim 的amba使用求助

questasim 的amba使用求助

时间:10-02 整理:3721RD 点击:
我有一个挂有synopsys slave vip的VMM验证环境,希望转到UVM下面去,在questasim下运行,有哪位指点一下,怎么调用amba的库在questasim下面?

i need this too

靠回帖赚积分真累啊

看看,学习一下

同问同问

谢谢小编分享

看看.....................

路过,学习了。

thnx!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top