微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > win7 64位,questa sim 10.2c仿真systemverilog DPI出错

win7 64位,questa sim 10.2c仿真systemverilog DPI出错

时间:10-02 整理:3721RD 点击:
最近在学习systemverilog DPI,刚开始就遇到错误了。
代码如下:
1、string_sv2c.c:

  1. #include "svdpi.h"
  2. #include <stdio.h>

  3. void string_sv2c()
  4. {
  5. printf( "C: Hellow from C" );
  6. }

复制代码



2、main.sv:

  1. program main;

  2. import "DPI-C" function void string_sv2c();

  3. initial begin
  4. string_sv2c();
  5. end

  6. endprogram

复制代码



使用questa sim 仿真,过程如图所示:



究竟是什么原因啊,求各位大大指点指点!谢谢!

up,up,up

在vsim时需要用-svlib将编译的.dll文件加载上去,注意加载时只要dll的文件名,不需要后缀...

已经解决了,另附上方案链接:http://bbs.ednchina.com/BLOG_ARTICLE_3028736.HTM

赞一个....

thank you

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top