微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > irun怎么生成uvm环境的波形呢?

irun怎么生成uvm环境的波形呢?

时间:10-02 整理:3721RD 点击:
irun怎么生成uvm环境的波形呢?比如下面这个top文件,里面没有实例化任何对象,用probe命令不知道怎么用呢,产生的波形文件里面什么波形都没有?
大神帮帮忙,
`include "uvm_macros.svh"
import uvm_pkg::*;
`include "driver.sv"
`include "monitor.sv"
`include "agent.sv"
`include "env.sv"
`include "test.sv"
module top;
initial
run_test();
endmodule

手册中有, probe -shm -all -depth什么的,然后还要在仿真时加-uvm -sv

uvm环境的东西应该不能生成波形,用log就行了。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top