微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > modelsim10.0d + uvm-1.1b源码仿真工程搭建问题求指教

modelsim10.0d + uvm-1.1b源码仿真工程搭建问题求指教

时间:10-02 整理:3721RD 点击:
问个问题哈,我使用的是10.d版本的。编译顺序是
2.c:/altera/12.0/modelsim_ase/gcc-4.2.1-mingw32vc9/bin/g++.exe -g -DQUESTA -W -shared -Bsymbolic -Ic:/altera/12.0/modelsim_ase/include$UVM_HOME/src/dpi/uvm_dpi.cc -o$UVM_HOME/lib/uvm_dpi.dll c:/altera/12.0/modelsim_ase/win32aloem/mtipli.dll -lregex
3.vlib work
4.vlog +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv
5.vlog +incdir+$UVM_HOME/src hello_world.sv
6.vsim -c -sv_lib $UVM_HOME/lib/uvm_dpi hello_world。
但是在跑的时候遇到了,
7.# HDL call sequence:
# Stopped at producer.sv 60 Task hello_world/producer::run_phase
# called fromd:/copy/uvm-1.1b/src/base/uvm_common_phases.svh 245 Task uvm_pkg/uvm_run_phase::exec_task
# called fromd:/copy/uvm-1.1b/src/base/uvm_task_phase.svh 150 Function uvm_pkg/uvm_task_phase::execute。
另外在win32aloem少了两个文件:dpghelp.dll imagehlp.dll,网上下了两个。
我看那个地方是:oid'(p.randomize());
你们遇到这个问题没有

小编好给力!

感謝分享

感谢分享

谢谢分享

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top