微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS 编译uvm 库错误

VCS 编译uvm 库错误

时间:10-02 整理:3721RD 点击:
大师们,用vcs +acc +vpi -sverilog +incdir+${UVM_HOME}/src ${UVM_HOME}/src/dpi/uvm_dpi.cc${UVM_HOME}/src/uvm_pkg.sv编译UVTop Level Modules:
No TimeScale specified
Starting vcs inline pass...
3 modules and 0 UDP read.
However, due to incremental compilation, no re-compilation is necessary.
ld -r -m elf_i386 -o pre_vcsobj_1_1.o --whole-archive pre_vcsobj_1_1.a --no-whole-archive
if [ -x ../simv ]; then chmod -x ../simv; fi
g++-o ../simv-melf_i386-Wl,-whole-archive-Wl,-no-whole-archiveuvm_dpi.oSIM_l.o 5NrI_d.o 5NrIB_d.opre_vcsobj_1_1.ormapats_mop.o rmapats.o/usr/synopsys/vcs/linux/lib/libzerosoft_rt_stubs.so /usr/synopsys/vcs/linux/lib/libvirsim.so /usr/synopsys/vcs/linux/lib/librterrorinf.so /usr/synopsys/vcs/linux/lib/libsnpsmalloc.so/usr/synopsys/vcs/linux/lib/libvcsnew.so /usr/synopsys/vcs/linux/lib/libvcsucli.so /usr/synopsys/vcs/linux/lib/libuclinative.so./../simv.daidir/vc_hdrs.o/usr/synopsys/vcs/linux/lib/vcs_save_restore_new.o /usr/synopsys/vcs/linux/lib/ctype-stubs_32.a -ldl-lc -lm -lpthread -ldl
uvm_dpi.o: In function `uvm_hdl_set_vlog':
uvm_dpi.cc.text+0x359): undefined reference to `vpi_release_handle'
uvm_dpi.o: In function `uvm_hdl_read':
uvm_dpi.cc.text+0x485): undefined reference to `vpi_release_handle'
uvm_dpi.cc.text+0x4e7): undefined reference to `vpi_release_handle'
collect2: ld returned 1 exit status
make: *** [product_timestamp] Error 1
M1.1d库时,提示如下错误
应该怎样解决,谢谢。

去掉编译参数 ${UVM_HOME}/src/dpi/uvm_dpi.cc 试试

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top