微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM 验证中 Pack 和 Unpack 的问题。

UVM 验证中 Pack 和 Unpack 的问题。

时间:10-02 整理:3721RD 点击:
UVM 中DUT数据路径是 128 位的,
Ethernet 的8位激励包怎么Pack 和 Unpack ?
SOP ,EOP 和 最后一个WORD的有效byte的处理,
class 参考手册写的太简单,不是很明确用法,
有没有同学有这方面的经验?
谢谢!

定义好sequence,在driver中做数据结构转换,把sequence结构转换成实际激励结构,调用接口发送出去。
其实transaction是一种更高层次的数据抽象建模(软件),与底层时序与数据结构(硬件)完全没关系。

谢谢~
现在用的就是这种方法,但 Driver里有些信号转换很繁琐,
想直接 Transaction 定义为 128 位,
但 128位的 pack和unpack 应该怎么调用?

我的理解是transaction中的数据结构尽量细分,这样在比对或打印,波形定位时才好定位,sv语言是擅长数据转换的,尤其是这种bit级的

嗯,了解,
谢谢回复。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top