微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM输出hello world问题

UVM输出hello world问题

时间:10-02 整理:3721RD 点击:
运行的时候输入vsim -c -sv_lib $UVM_HOME/lib/uvm_dpi work.hello_world_example结果显示
# Loading sv_std.std
# Loading work.uvm_pkg(fast)
# Loading work.hello_world_example(fast)
# ** Note: (vsim-8785) UVM-aware debugging capabilities will be disabled since no compiled "questa_uvm_pkg" can be found.
#
# This also means that later if you turn on UVM-aware debugging your debug simulations may have
#
# different random seeds from your non-debug simulations.
#
# Loading d:/uvm/verilog_src/uvm-1.1d/lib/uvm_dpi.dll
求问是什么问题啊?gcc吗?

大神们不要来了,我错了,原来我没有运行,我是傻叉!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top