微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 如何修改verilog 同时打开的输出文件数?

如何修改verilog 同时打开的输出文件数?

时间:10-02 整理:3721RD 点击:
目前知道verilog1995标准下的同时打开文件数只有32个,悲剧的是我需要同时打印64个通道的数据。
好像在书上看到2001标准的verilog可以支持到230个文件同时打开,
用modelsim仿真的时候,如何设定才能保证可以全部打开64个文件句柄用来读写文件呢?
modelsim 提示
No mcd channels available
查了一下,mcd只有32位,并且最高位和最低位不能用,所以只能打开30个文件。
大家有没有办法同时打开230个文件啊?


谢谢~

NC-Verilog也遇到过这个问题,现在搞定了
In verilog-2001, there is a single $fopen for both multi-channel descriptors (MCD) and file descriptors (FD).
Whether an FD or MCD is produced is indicated by the presence of a mode string added to $fopen in verilog-2001:
file = $fopen("filename", "w");// FD
file = $fopen("filename");// MCD
简单的说:
file = $fopen("filename", "w");
能打开30个以上的文件
file = $fopen("filename");
最多打开30个文件,但是这样打开的句柄可以做或运算,实现多文件同时输出:
file_ab = file_a | file_b;
$fdisplay(file_ab, ”stringxxx”); //同时输出到A、B两个文件

ding!

研究下2楼的,好像有点问题

是在不行,可以都打在一个文件里,用标签以区分,在用perl分开

请问,这个问题如何解决呢?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top