微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 有关UVM宏的问题

有关UVM宏的问题

时间:10-02 整理:3721RD 点击:
最近刚开始看uvm,看到一些资料里面会出现类似`uvm_component_utils `uvm_do 这样的语法,我想问这些宏定义是否是UVM库里面以后定义好的,有特定的作用的,在我们写代码中类似调用函数一样调用的东西?谢谢

uvm 源代码里都有。
`uvm_component_utils 经常用到,`uvm_do等不建议用,请参考mentor的cookbook。

都是预定义好的,UVM官方的class ref上有关于对他定义好的宏的介绍

谢谢指点

谢谢指点,你的英文名和我的英文名一样,0921是你的生日吗

一般之所以不常用uvm_do,是因为uvm_do = uvm_create + randomize() + uvm_send();
这个宏将所有的事情都集合在一起完成了,而这个有时候不能很好的配置我们的transaction。
因此,可能在sequence的task body中,更常用的是start_item(tran, -1, this.m_sequencer)这种吧

谢谢指点

敢问你们是怎么用的?uvm_do中间貌似没有assert动作。

学习一下。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top