微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 在simvision 如何对C 代码进行debug?

在simvision 如何对C 代码进行debug?

时间:10-02 整理:3721RD 点击:

Dear All:问题可能很简单,但对我这个新手来说就太难了……
在我的testbench中包含了部分 C 代码,使用system verilog 的DPI接口,这也是我第一次这么做,以前没玩过这么高级的功能,现在不知道怎么在simvision中对C进行 debug,在此开贴求教
下面例子 system verilog部分:

  1. module test (/*AUTOARG*/ ) ;

  2. shortint mem[100:0] ;

  3. import "DPI-C"function void test_c( input shortint inHindle[],input int a);

  4. initial begin
  5. for(int i=0;i<= 100;i++)begin
  6. mem[i] = i;
  7. end
  8. test_c(mem,2);//
  9. #100 $stop;
  10. end
  11. endmodule // test

复制代码



C代码部分:

  1. #include"svdpi.h"#include "stdio.h"#include "string.h"#include "stdlib.h"void test_c(const svOpenArrayHandle inHandle,int a){short int * pData;a=10;int * pTeste = (int *)calloc(1304*748,sizeof(int));pData = (short int * )svGetArrayPtr(inHandle);printf("%d\n",pData[20]);free(pTeste);a =12;a=23;a=24;printf("a= %d ",a);}

复制代码


这个例子我简化了,实际的tb里的 C是作为reference design的算法部分。
按照simvison的 API debug里头说的进行debug,我在debug的时候,C中变量值一直显示不出来,见下图:

我在C中对 a 进行了几次更新 ,但左边一直显示为“0”,看了几篇文档了,现在不知道应该怎么继续下去,望大神指条明路,

OK, 自己摸索出来了,最终发现系统上的gcc与NC的匹配,使用NC的gcc来编译C文件就好了
其gcc的路径大致如下
$CDSROOT/tools/cdsgcc/gcc/4.4/bin/gcc -fPIC -shared -g -o libdpi.so ../scr/*.c

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top