微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM验证平台

UVM验证平台

时间:10-02 整理:3721RD 点击:

本人搭建了一个UVM平台,在sequence启动方式上通过config设置成
uvm_config_db#(uvm_object_wrapper)::set(this, “env.agt.sqr.main_phase”, “default_sequence”, my_sequence::type_id::get)设置成自动启动方式,但是在设置之后却不能向UVM资料上所说的那样可以自动启动sequence,而是必须使用starting_phase段才能运行 ,也就是说自动启动失败,想请教高手,这是怎么回事?如何自动启动一个sequence?但是在别人的环境中以上述方式是可以自动启动的

你好,我这样用怎么编译出错了,uvm_config_db#(virtual my_if)::set(null,"ucm_test_top.env.i_agt.drv","my_if",my_if);
Error: top.sv(21): (vlog-2730) Undefined variable: 'uvm_resource_db'.
Error: top.sv(21): near "#": syntax error, unexpected '#'
应该怎么解决啊?请求帮助,谢谢!

ucm?你是不是打错字母了?

你的my_sequence是怎么定义的?create了吗?
另外,你这句话是没有报错吗?get()是不是应该这么写?
uvm_config_db#(uvm_object_wrapper)::set(this, “env.agt.sqr.main_phase”, “default_sequence”, my_sequence::type_id::get())

你这个应该是uvm class lib没有load完全。
连uvm_resource_db都不认识。

下载下来看看~3Q

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top