微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS下怎么查看SV代码中的program波形

VCS下怎么查看SV代码中的program波形

时间:10-02 整理:3721RD 点击:
小弟菜鸟一个。使用VCS仿真,生成FSDB及VCD文件,可是无论是verdi还是DVE,都无法看到program块下的波形。
求助呀,大家怎么debug的呢?

可以打印log来debug, 需要波形的信号就不要放在这里面的啥

使用verdi将fsdb加载进来,就可以添加波形查看了

查看一下VCS -help

program里的东西好像是没法dump成波形的……

那要是拿Program仿真的module的端口,能不能dump啊?

module端口当然可以。

目前还没有工具支持program波形的dump,只能display来debug了,据说最新版本的verdi可以支持program以及UVM相关的波形dump,但是我们公司现在用的verdi 201307个人觉得已经很latest了还无法支持,期待debug利器verdi的更新

good avatars around! )

verdi xxx.v -ssf xxx.fsdb



请问你用VCS是怎样生成.fsdb格式的波形的啊?求指点!

动态变量是没有办法dump下来的,升级也没有用,就像一个信号一会儿存在,一会儿就没了,你让波形怎么记
vcs有系统函数可以当你调用时记录一下变量值,但是也是调用一下记录一下,类似于软件中的断点,你可以运行到断点时看看你的变量的值,但是运行的时候可没有记录变量变化的东东哦

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top