微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 求助 modelsim下UVM编译遇到的问题

求助 modelsim下UVM编译遇到的问题

时间:10-02 整理:3721RD 点击:
我写的一个transaction文件编译遇到这个错误是什么意思啊文件很简单,放到另外一个我以前编译成功的工程里面编译可以成功,这个工程里面编译就会出现这个问题。为什么啊,求大神给看看

找了一个helloworld文件在这个工程中编译仿真了一下,这个工程里的transaction.sv就可以正常编译了,不知道是为啥。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top