微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM编译时的报错

UVM编译时的报错

时间:10-02 整理:3721RD 点击:
最近搞UVM验证平台的时候,用VCS跑的时候出现了如下这样的问题:


请各位解释一下该怎么去debug,是不是还有库里面的宏块没有添加进去?找了半天没找到那些宏块

?

上一篇:uvm testbench
下一篇:如何建立随机延时?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top