微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM 新手请教问题

UVM 新手请教问题

时间:10-02 整理:3721RD 点击:
刚开始学习UVM,建了环境.
写了个最简单的interface
可是报了Error:
Systemverilog keyword 'interface' is not expected to be used in this context
请问什么问题哦?
怎么解决哦?

是不是放在sv语法不允许的地方。

在driver和monitor内定义的时候前面加virtual

我单独写了个interface.sv 的文件,想专门来定义interface的

应该是你没有加入sv进行编译引起的

确定有加sv编译的

你看看编译顺序对不对,一般interface.sv是放在其他文件的前面进行编译,方便的话,你把报错的地方贴上来,给你看看

你不会是把interface封装在package中了吧?

恩,我是把interface 封装在package里面了.

我把interface封装在package里面的,
我试了下你说的,把if.sv加在了在编译文件列表的最前面.
然后这样编译就可以ok了.
为什么在package里面include不行呢?



不只是interface不能放在package里面,一般package里面就放一些类文件的。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top