微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM 求助

UVM 求助

时间:10-02 整理:3721RD 点击:

Error-[NOA] Null object access
c64_driver.sv, 41
The object is being used before it was constructed/allocated.
Please make sure that the object is newed before using it.
UVM 仿真出现如上问题,请各位高手指教!

把代码贴出来看看。
不过看提示应该是语法之类的一般错误。
是不是object没有new()?

在driver中,我把这一行a_port.write(req); 给注销了 就不会有上面报出的错误!另外我还没有加monitor或者reference之类的,41 指的就是”a_port.write(req);“行

在driver的task new里面加一行,new一下a_port,例如:
a_port= new("a_port", this);

楼上说的对,问题是处在new哪里,哈哈哈 多谢!顺便问下,楼上有介绍reference model的资料么,我不知道怎么组织建立reference model!

同问,求助。

........................

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top