微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > questasim 后仿 问题 vsim -t 1ps

questasim 后仿 问题 vsim -t 1ps

时间:10-02 整理:3721RD 点击:
后仿的时候,加了 vsim -t 1ps ,这样 在 UVM driver 里面的 那些延时 #20 ,后面没有写单位,因为在driver 这个class 定义了timescale 1ns/1ps ,但是 为啥 最终 #20 ,只是延时了 20 ps , 在功能仿真的时候 vsim -t 1ns ,driver 的# 20 ,延时的是 20 ns , 按道理 -t ,影响的只是时延精度而不是时延单位?有人知道原因吗? 谢谢

个人觉得在你的driver里便没有定义时钟规格,也就是说timescale 1ns/1ps没有起作用

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top