微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM自动build环境的脚本谁有?

UVM自动build环境的脚本谁有?

时间:10-02 整理:3721RD 点击:
扔一个过来.
另外, 这个论坛看人气还挺足的呀, 怎么弄来弄去都是来发资料和下资料的,
能介绍点验证的心得和思路的么?

源代码里有说明,自己写一个不就行了?

自己用perl写一个 我都是参考技术文档自己写的 很方便的

我是能自己写一个, 但是现在项目忙, 也不是必须的东西, 看看如果有人写了我就不浪费这个时间了嘛.

谢谢小编 分享

小编找到这种脚本了吗,能不能分享一下

我晕,我果然还是UVM小白呀,怎么我就不懂你们在说什么呢?

candance 有一个工具ivbuild,可以生成简单的用例,生成出来再根据实际项目改改就好用了。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top