微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > Questasim是否支持VMM标准库

Questasim是否支持VMM标准库

时间:10-02 整理:3721RD 点击:
小弟目前使用的Questasim6.3c好像并不支持。
不知道更高版本的软件支持吗?
VCS对VMM的支持是不是要好些?
这两款软件哪个用起来方便些,貌似VCS比较受欢迎。
希望对两款软件比较了解的达人解答。

Questasim不熟悉,看看Userguide应该能找到答案
VCS当然对VMM支持了

支持的!不支持你可以在网上下一个库就行了!

应该支持的。

了解了解

支持啊

6.5以后都支持!

别折腾VMM了,UVM主要是以OVM为基础的

应该是支持的。

Questasim不熟悉,看看Userguide应该能找到答案

支持一下。

它主要支持uvm吧

印象中是支持的吧

支持vmm的寄存器吗

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top